Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("Raymond, Christopher J")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Publication Year[py]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 360

  • Page / 15
Export

Selection :

  • and

Metrology, inspection, and process control for microlithography XXV (28 February-3 March 2011, San Jose, [California], United States)Raymond, Christopher J.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 2 vol, 2, isbn 978-0-8194-8530-4Conference Proceedings

Fast and accurate calibration for OPC process-window model using inverse weight algorithmPARIKH, Ashesh.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710P.1-79710P.8, 2Conference Paper

RS-Mini: An Enterprise Class Highly Compact Mask Inspection Defect Management Framework for the Mask and Wafer Fab InfrastructureMUNIR, Saghir.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712Q.1-79712Q.13, 2Conference Paper

Scatterometry simulator using GPU and Evolutionary AlgorithmSHIRASAKI, Hirokimi.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711T.1-79711T.7, 2Conference Paper

Wafer Noise Models for Defect InspectionCRIMMINS, Timothy F.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710E.1-79710E.6, 2Conference Paper

Metrology, inspection, and process control for microlithography XXIII (23-26 February 2009, San Jose, California, United States)Allgair, John Alexander; Raymond, Christopher J.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7272, issn 0277-786X, isbn 978-0-8194-7525-1 0-8194-7525-4, 2 vol, 2, isbn 978-0-8194-7525-1 0-8194-7525-4Conference Proceedings

Metrology, inspection, and process control for microlithography XXII (25-28 February 2008, San Jose, California, USA)Allgair, John Alexander; Raymond, Christopher J.Proceedings of SPIE, the International Society for Optical Engineering. 2008, issn 0277-786X, isbn 978-0-8194-7107-9, 2 v, isbn 978-0-8194-7107-9Conference Proceedings

Enhanced defect of interest [DOI] monitoring by utilizing sensitive inspection & ADRTrueTM SEM reviewKIRSCH, Remo; ZEISKE, Ulrich.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712M.1-79712M.8, 2Conference Paper

Statistical-noise effect on power spectrum of line-edge and line-width roughness with long-range correlationHIRAIWA, Atsushi; NISHIDA, Akio.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710J.1-79710J.13, 2Conference Paper

A new illumination technique for grating-based nanometer measurement applicationsLI JIANG.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7272, issn 0277-786X, isbn 978-0-8194-7525-1 0-8194-7525-4, 72723U.1-72723U.9, 2Conference Paper

Approaches to airborne molecular contamination assessmentVOGT, Sarah Riddle; LANDONI, Cristian.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712I.1-79712I.7, 2Conference Paper

Artifacts of the AFM image due to the probe controlling parametersITOH, Hiroshi; WANGA, Chunmei; TAKAGIA, Hideki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711A.1-79711A.6, 2Conference Paper

A Study and simulation of the impact of High Order Aberrations to Overlay Error DistributionSUN, G; WANG, F; ZHOU, C et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712K.1-79712K.8, 2Conference Paper

Reconciling measurements in AFM reference metrology when using different probing techniquesRANA, Narender; ARCHIE, Chas; FOUCHER, Johann et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797117.1-797117.13, 2Conference Paper

Image library approach to evaluating parametric uncertainty in metrology of isolated feature widthPOTZICK, James.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7272, issn 0277-786X, isbn 978-0-8194-7525-1 0-8194-7525-4, 727218.1-727218.8, 2Conference Paper

Empirical data validation for model buildingKAZARIAN, Aram.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69221I.1-69221I.6, issn 0277-786X, isbn 978-0-8194-7107-9Conference Paper

Improved secondary electron extraction efficiency model for accurate measurement of narrow-space patterns using model-based library matchingSHISHIDO, Chie; TANAKA, Maki; HAMAMATSU, Akira et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711S.1-79711S.13, 2Conference Paper

Quantitative Measurement of Voltage Contrast in SEM Images for In-line Resistance Inspection of Incomplete ContactMATSUI, Miyako; YANO, Tasuku; ODAKA, Takayuki et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710F.1-79710F.10, 2Conference Paper

SURFACE SCANNING INSPECTION SYSTEM DEFECT CLASSIFICATION OF CMP INDUCED SCRATCHESMCGARVEY, Steve; MILLER, Anne E.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712P.1-79712P.8, 2Conference Paper

3D Semiconductor Grooves Measurement Simulations (Scatterometry) using Nonstandard FDTD MethodsSHIRASAKI, Hirokimi.Proceedings of SPIE, the International Society for Optical Engineering. 2008, pp 69223T.1-69223T.9, issn 0277-786X, isbn 978-0-8194-7107-9Conference Paper

Metrology of micro-step height structures using 3D scatterometry in 4xnm advanced DRAMDUAN, Mason; CHEN, Clark; HSU, Calvin et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79712J.1-79712J.7, 2Conference Paper

A Holistic Metrology Approach: Multi-Channel Scatterometry for Complex ApplicationsBOZDOG, Cornel; HYANG KYUN KIM; EMANS, Susan et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797113.1-797113.8, 2Conference Paper

Application of Mask Process Correction (MPC) to monitor and correct mask process driftLIN, Timothy; DONNELLY, Tom; RUSSELL, Gordon et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 797107.1-797107.7, 2Conference Paper

CD-SEM image-distortion measured by View-Shift MethodINOUE, Osamu; KAWASAKI, Takahiro; MATSUI, Miyako et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79711Z.1-79711Z.10, 2Conference Paper

Characterization of EUV resists for defectivity at 32nmMONTAL, Ofir; DOLEV, Ido; JEHOUL, Christiane et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7971, issn 0277-786X, isbn 978-0-8194-8530-4, 79710G.1-79710G.11, 2Conference Paper

  • Page / 15